]> mj.ucw.cz Git - moe.git/commitdiff
Isolate: Silenced signed/unsigned comparison warning
authorMartin Mares <mj@ucw.cz>
Wed, 9 Jul 2014 10:12:11 +0000 (12:12 +0200)
committerMartin Mares <mj@ucw.cz>
Wed, 9 Jul 2014 10:12:11 +0000 (12:12 +0200)
isolate/isolate.c

index c36faed9b732959c59fff9c603227716f96458a0..687edbfea765dae9348d4e2a9645a8524dc89658 100644 (file)
@@ -1518,7 +1518,7 @@ main(int argc, char **argv)
       case OPT_RUN:
       case OPT_CLEANUP:
       case OPT_VERSION:
-       if (!mode || mode == c)
+       if (!mode || (int) mode == c)
          mode = c;
        else
          usage("Only one command is allowed.\n");